CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 计时器 VHDL

搜索资源列表

  1. watch

    0下载:
  2. 一个用VHDL编程基于CPLD的EDA实验板开发可以实现顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。 (1) 倒计时:通过小键盘可以实现设定计时时间(以秒为单位,最大计时时间为99.9秒)。通过键盘实现计时开始、计时结束。当所设定的倒计时间到达00.0S后,自动停止倒计时,同时响铃。 (2) 顺计时:初始值为00.0S,通过键盘实现开始计时和结束计时功能。计时结束后,显示记录的时间。 (3) 用三个发光二极管正确显示以下状态:倒计时状态、顺计时状态
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:412886
    • 提供者:YUJIAN.XU
  1. siluqiangdaqi

    0下载:
  2. 通过VHDL程序设计一个4人参加的智力竞赛抢答计时器,当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。 电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示为0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 -VHDL programming by a 4 quiz participants answer in timer, when a participant first press the answe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:6132
    • 提供者:longking
  1. clock1

    0下载:
  2. VHDL语言实现多功能数字钟设计:(1) 计时功能:这是本计时器设计的基本功能,每隔一分钟计时一次,并在显示屏上显示当前时间。 (2) 闹钟功能:如果当前时间与设置的闹钟时间相同,则扬声器发出蜂鸣声。 (3) 设置新的计时器时间:用户用数字键‘0’~‘9’输入新的时间,然后按 "TIME"键确认。 (4) 设置新的闹钟时间:用户用数字键“0”~“9”输入新的时间,然后按“ALARM”键确认。过程与(3)类似。 (5) 显示所设置的闹钟时间:在正常计时显示状态下,用户直接
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:346217
    • 提供者:雪圣
  1. rungametiming

    0下载:
  2. 这是一个计时器,起到秒表的作用,用vhdl实现-this is a watch used to get the time each runner takes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1028
    • 提供者:zz
  1. cntm60

    0下载:
  2. VHDL实现的60s计时器,用于时钟控制电路,实现计时。-the 60 seconds timer based on VHDL is used to controling the electronic circuit of timer.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:144769
    • 提供者:林子
  1. wtut_vhd

    0下载:
  2. spartan 3E 1600开发板的秒表计时器源程序,VHDL语言-source code of timer on spartan 3E1600 development board in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:471896
    • 提供者:zhangjianghan
  1. a_vhdl_8253_timer_latest[1].tar

    0下载:
  2. 因特尔8254 计时器的vhdl语言实现-a VHDL version of the Intel 8254 timer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:107899
    • 提供者:hxr
  1. VVHDL_32bit_tH

    0下载:
  2. VHDL写的32位计数,两个四位共阳数码管输出串口输出+数码码管显示的计时器程序每次停止后串口输出。,已通过测试。 -VHDL written 32 count, two four sun digital serial output tube output serial output the+ digital code to display the timer program each stop. , Has been tested.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:245607
    • 提供者:表现
  1. div50m

    0下载:
  2. 用VHDL代码编写的50分频器,已经经过Quarter仿真,证明正确,可用于计时器中-50 divider using VHDL code has After Quarter simulation, proved correct, can be used in the timer
  3. 所属分类:LabView

    • 发布日期:2017-11-14
    • 文件大小:1247
    • 提供者:赵晓星
  1. clock

    0下载:
  2. 数字计时器的vhdl实现,quartus 和 modelsim 仿真-Digital timer vhdl achieve quartus and modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:4528084
    • 提供者:金浩强
  1. eclock

    0下载:
  2. 使用vhdl语言实现一个集计时器,闹钟,整点报时为一体的电子钟-Electronic clock VHDL language as one of a set timer, alarm clock, the whole point timekeeping
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:965060
    • 提供者:王小飞
  1. minute_ct

    0下载:
  2. 采用VHDL语言设计的分钟计时器,是时钟设计的一部分,已仿真和测试通过。-Design using VHDL-minute timer, the clock part of the design, simulation and testing has been passed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:813
    • 提供者:xzb
  1. VHDL

    0下载:
  2. (1)抢答器可容纳四组选手,并为每组选手设置一个按钮供抢答者使用; 为主持人设置一个控制按钮,用来控制系统清零(组别显示数码管灭灯)和抢答开始。 (2)电路具有对第一抢答信号的锁存、鉴别和显示等功能。在主持人将系统复位并发出抢答指令后,提示抢答开始,计时显示器显示初始时间并开始倒计时,若参赛选手按下抢答按钮,则该组别的信号立即被锁存,并在组别显示器上显示该组别,同时扬声器也给出音响提示,此时,电路具备自锁功能,使其他抢答按钮不起作用。 (3)主持人对抢答结果进行确认,给出倒计时计数允许信
  3. 所属分类:Other systems

    • 发布日期:2017-05-08
    • 文件大小:1707148
    • 提供者:黄培哲
  1. timer

    0下载:
  2. 基于VHDL语言的一个简单秒表,包含按键消抖模块、数码管译码、计时器等模块。直接适用于basys2和nexys3两个开发板。更改ucf文件后适用于其他开发板-A simple stopwatch based on VHDL, including key debounce module, digital decoder, timers and other modules. Directly applicable to basys2 and nexys3 two development boards
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:16547
    • 提供者:潘健森
  1. time

    0下载:
  2. 年月日时分秒计时器,基于VHDL的表,爱爱爱啊-YYMMDDHHMMSS timer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2525295
    • 提供者:孟祥
  1. time

    0下载:
  2. 利用quatars,vhdl实现有倒计时功能计时器,设计定时器功能有正向计时和倒向计时,可暂停计数,继续计数。当倒向计时计数为0时会报警(时间为1分钟)在报警期间可以认为关闭-Using quataus, VHDL realization which has the function of the countdown counter, timer design features are timing and backward timing, can suspend count, continue
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1324992
    • 提供者:bella
  1. VHDLstopwatch

    0下载:
  2. 采用vhdl硬件描述语言实现的秒表计时器程序源码及顶层电路设计图,实现了计时器,数码管显示,按键控制及蜂鸣器等功能-Using VHDL hardware descr iption language to realize the stopwatch timer program source code and top-level circuit design, the timer, digital tube display, control buttons and a buzzer functio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:216692
    • 提供者:林之钊
  1. shuzijishiqi

    0下载:
  2. 基于VHDL的数字计时器,手动可控正计时和倒计时(含复位键和使能键)-VHDL-based digital timer and countdown timer being controlled manually (with the reset button and enable key)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1095319
    • 提供者:王润东
  1. EDA-24秒倒计时程序

    0下载:
  2. 本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用一块专用的芯片,用VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括1/100s计时器所有的控制和定时功能,其体积小,携带方便。(The stopwatch timer is used in sports competitions and in various fields where requirements are more accurate. This timer is written in a VHDL langu
  3. 所属分类:文章/文档

    • 发布日期:2017-12-16
    • 文件大小:3072
    • 提供者:白米粥
  1. 60s qiangdaqi

    0下载:
  2. 1.抢答器同时供N名选手,(此处假设4个)分别用4个按钮S0~?S3表示。? 2.设置一个系统“开始复位”开关S,该开关由主持人控制(当主持人按下该开关后以前的状态复位并且开始计时抢答)。?3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。?(1. Responder at the same time for N players, (here assumed
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:8116224
    • 提供者:drb
« 1 2 34 »
搜珍网 www.dssz.com